CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - vhdl 电梯

搜索资源列表

  1. f6lift

    2下载:
  2. 不同于网上的四层电梯,这是六层电梯的模拟程序,也是现在学校要求的,vhdl语言开发,在板子上运行良好-vhdl 6 lift
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-07-04
    • 文件大小:581897
    • 提供者:胡诣嵩
  1. dianti

    0下载:
  2. 电梯的VHDL设计,上面有源代码,希望对大家有用-VHDL elevator design, source code above, I hope useful for everyone
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-27
    • 文件大小:162522
    • 提供者:
  1. elevator

    0下载:
  2. VHDL开发环境,电梯控制系统,实现电梯的上下传送控制。-VHDL development environment, elevator control system, transmission control up and down elevators.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:1763973
    • 提供者:王蕊
  1. Elevatorcontroller

    0下载:
  2. 电梯控制器程序设计与仿真,需要的朋友可以下栽.-Elevator controller design and simulation procedures needed下栽friends.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-26
    • 文件大小:162476
    • 提供者:
  1. lift

    1下载:
  2. 我自己写的六层电梯程序,用的语言是VHDL,还有仿真的图,非常有用,-I wrote it myself six lift procedures, the language used is VHDL, simulation of the Fig also, very useful,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:162270
    • 提供者:易勇辉
  1. Elevator

    1下载:
  2. 基于FPGA的6层电梯控制器,使用VHDL编程,用quartus ii进行仿真模拟-Elevator Controller
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-07-04
    • 文件大小:162470
    • 提供者:xieyi
  1. elevator

    0下载:
  2. 用VHDL编写的一个电梯控制程序,花了很长时间,应该很不错的-VHDL prepared with a elevator control procedures, took a long time, should be very good
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:731954
    • 提供者:小毛
  1. diantikongziqi

    0下载:
  2. 电梯控制器的设计与分析.对电梯的控制过程进行VHDL语言描述。-Elevator controller design and analysis. On the elevator control process described in VHDL language.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:422304
    • 提供者:水牛EDA
  1. dianti

    1下载:
  2. 用verilog写的电梯控制器内附测试文件和实验报告 -Use verilog to write elevator controller with the test documentation and test reports
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-07-06
    • 文件大小:668434
    • 提供者:黎德才
  1. elevator

    0下载:
  2. 这是一个小课程设计,关于电梯控制的vhdl仿真程序,内容十分完整-This is a small curriculum design, on the elevator control of vhdl simulation program, very complete
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:285481
    • 提供者:fengyun
  1. lift3

    0下载:
  2. 实现两个四层电梯同时控制,有开门、关门、报警功能-To achieve simultaneous control of two four-story elevator, there are open, closed, alarm function
  3. 所属分类:Other systems

    • 发布日期:2017-04-05
    • 文件大小:5941
    • 提供者:陈林
  1. zdsjdt

    0下载:
  2. 自动升降电梯控制器设计 要求: 设计一个6层楼的电梯控制器。 该控制器可控制电梯完成6层楼的载客服而且遵循方向优先原则,并能响应提前关门延时关门,并具有超载报警和故障报警; 同时指示电梯运行情况和电梯内外请求信息。-Auto-Lift elevator controller design requirements: design of a six-story elevator controller. The controller can control the completio
  3. 所属分类:Other Embeded program

    • 发布日期:2017-03-28
    • 文件大小:140896
    • 提供者:dws
  1. VHDLdianti

    1下载:
  2. 电梯控制 记忆,上升下降停站 超载报警故障.....。-Verilog EDA dianti
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:382346
    • 提供者:zhu
  1. elevator

    0下载:
  2. 设计任务和基本要求: (1)系统控制的电梯往返于1-9层楼。 (2)乘客要去的楼层数可手动输入并显示(设为A数)。 (3)电梯运行的楼层数可自动显示(设为B数)。 (4)当A>B时,系统能输出使三相电机正转的时序信号,使电梯上升; 当A<B时,系统能输出使三相电机反转的时序信号,使电梯下降; 当A=B时,系统能输出使三相电机停机的信号,使电梯停止运行并开门; (5)梯是上升还是下降各层电梯门外应有指示,各层电梯门外应有使电梯上升或下降到乘客所在楼层的控制开
  3. 所属分类:Other systems

    • 发布日期:2017-04-06
    • 文件大小:11246
    • 提供者:chengwei
  1. diantikongzhi

    0下载:
  2. 使用电梯控制器的FPGA实现,包含功能划分,软件代码及FPGA制作三个方面-failed to translate
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-05-03
    • 文件大小:1192114
    • 提供者:ibike
  1. dianti

    1下载:
  2. FPGA的电梯控制程序,用vhdl语言实现电梯的控制的代码-FPGA elevator control program, using vhdl language implementation code for the control of the elevator
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-12-18
    • 文件大小:3309
    • 提供者:萤火虫
  1. lunwen

    0下载:
  2. 利用VHDL设计的电梯系统毕业论文,包括所有波形和管脚分配-The elevator system design using VHDL thesis, including the distribution of all waveforms and pins
  3. 所属分类:File Formats

    • 发布日期:2017-05-08
    • 文件大小:1650332
    • 提供者:商小曼
  1. lift

    0下载:
  2. VHDL语言设计的16层电梯控制,带LED显示功能,两种时钟周期-vhdl lift
  3. 所属分类:Other systems

    • 发布日期:2017-04-08
    • 文件大小:2345
    • 提供者:xueze520
  1. VHDL-dianti

    0下载:
  2. 高楼电梯自动控制系统(Windows平台上运行的ispLEVER编程软件。 ): 1统控制的电梯往返于1-9层楼。 2客要去的楼层数可手动输入并显示(设为A数)。 3梯运行的楼层数可自动显示(设为B数)。 4A>B时,系统能输出使三相电机正转的时序信号,使电梯上升; 当A<B时,系统能输出使三相电机反转的时序信号,使电梯下降; 当A=B时,系统能输出使三相电机停机的信号,使电梯停止运行并开门; 5是上升还是下降各层电梯门外应有指示,各层电梯门外应有使电
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:34734
    • 提供者:
  1. A_VHDL_process_elevator_controller

    0下载:
  2. 一个VHDL电梯控制器的程序:A VHDL process elevator controller-An elevator controller VHDL procedures: A VHDL process elevator controller
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:119541
    • 提供者:jk
« 1 23 4 5 6 7 8 9 »
搜珍网 www.dssz.com